8421码转余3码 quartus II (FPGA) 数字电路课程设计

发布时间:2024-11-21

8421码转余3码 quartus II (FPGA)

FPGA 8421码转余三码

8421码转余3码 quartus II (FPGA)

quartusII代码

library ieee;

use ieee.std_logic_1164.all;

8421码转余3码 quartus II (FPGA)

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

entity key_led is

port (

key_in : in std_logic_vector (3 downto 0);

led_out : out std_logic_vector (7 downto 0)

);

end entity;

architecture key_led_arch of key_led is

begin

process(key_in)

begin

case key_in is

when "0000" => led_out <= "11110010"; ————3

when "0001" => led_out <= "01100110"; ————4

when "0010" => led_out <= "10110110"; ————5

when "0011" => led_out <= "10111110"; ————6

when "0100" => led_out <= "11100000"; ————7

when "0101" => led_out <= "11111110"; ————8

when "0110" => led_out <= "11110110"; ————9

8421码转余3码 quartus II (FPGA)

when "0111" => led_out <= "11101110"; ————A

when "1000" => led_out <= "00111110"; ————b

when "1001" => led_out <= "10011100"; ————C

when others => led_out <= "11111111";——————全亮(包括小数点)

end case;

end process;

end architecture;

8421码转余3码 quartus II (FPGA) 数字电路课程设计.doc 将本文的Word文档下载到电脑

    精彩图片

    热门精选

    大家正在看

    × 游客快捷下载通道(下载后可以自由复制和排版)

    限时特价:7 元/份 原价:20元

    支付方式:

    开通VIP包月会员 特价:29元/月

    注:下载文档有可能“只有目录或者内容不全”等情况,请下载之前注意辨别,如果您已付费且无法下载或内容有问题,请联系我们协助你处理。
    微信:fanwen365 QQ:370150219